Science

#Researchers develop large-scale neuromorphic chip with novel instruction set architecture and on-chip learning

Darwin3: a large-scale neuromorphic chip with a novel ISA and on-chip learning
The top left shows a photo of the system test board, with a red box indicating Darwin3. The top right displays the top-level view of the chip layout, while below are the layout details of the main modules. The chip’s structure is organized into a grid of 6*6 groups, each consisting of 4*4 tiles. Each tile comprises a node connected to a router. Except for the RISC-V node, all nodes on the chip are neuron cores, collectively driving their computational functions. Notably, two distinct tile types exist, primarily differing in the size of their axon-in memory. Credit: Science China Press

The Spiking Neural Network (SNN) offers a unique approach to simulating the brain’s functions, making it a key focus in modern neuromorphic computing research. Unlike traditional neural networks, SNNs operate on discrete, event-driven signals, aligning more closely with biological processes.

Specialized neuromorphic computing chips are being developed to leverage the benefits of the SNNs better. These chips represent a departure from the traditional computing architecture, offering a promising solution to storage and power constraints in the post-Moore era.

However, to fully realize the potential of SNNs, researchers face several challenges. First, they must ensure the flexibility of neural models to capture the brain’s diverse behaviors accurately. Second, they need to address the scalability and density of synaptic connections to support large neural networks effectively. Finally, achieving on-chip learning capabilities is essential for these chips to adapt and improve like actual brains.

Considering these challenges, Professor Gang Pan’s team at Zhejiang University collaborated with Zhejiang Lab to develop the Darwin 3 neuromorphic chip, the latest version of the Darwin series. The team delved into numerous neuron and synapse models, analyzed how they work, and identified their key computational aspects.

The findings are published in the journal National Science Review.

Based on their findings, they proposed a new instruction set architecture (ISA) specifically for neuromorphic computing. This ISA allows for rapid state updates and parameter loading, enabling efficient construction of various models and learning rules.

Furthermore, the research team devised an efficient connection mechanism, significantly enhancing on-chip storage efficiency while supporting over 2 million neurons and 100 million synapses on a single chip. The neural networks in our brains are incredibly interconnected.

On average, each neuron can establish connections with thousands of other neurons. The proposed connection mechanism provides a wonderful hardware foundation for building brain-scaled neural networks.

Darwin3: a large-scale neuromorphic chip with a novel ISA and on-chip learning
Figure (a) illustrates a neural network consisting of five spiking VGG-16 as the core components. The network is highly adaptable to various artificial intelligence scenarios. Figure (b) and (c) illustrate a neural network directly on-line trained to solve mazes by mapping the maze onto a set of neurons. Excitatory neurons represent free-walking points, while inhibitory neurons represent obstacles. During learning, synaptic weights increase based on specific rules, and inhibitory neurons maintain inhibition. Once the model has learned, it can quickly find the path by observing the direction along which spikes propagate. Credit: Science China Press

The research team has also made significant advancements in on-chip learning capabilities for Darwin3, enabling it to efficiently handle new information and dynamic environments while running spiking neural networks. This has made Darwin3 more adaptable and user-friendly, showcasing exceptional adaptability in complex scenarios.

Recent experiments demonstrate the Darwin 3’s impressive capabilities of on-chip learning and ability to support various kinds of SNNs, distinguishing it from other neuromphic chips. The development of the Darwin 3 marks a significant milestone in neuromorphic computing, promising to advance artificial intelligence capabilities.

More information:
De Ma et al, Darwin3: a large-scale neuromorphic chip with a novel ISA and on-chip learning, National Science Review (2024). DOI: 10.1093/nsr/nwae102

Provided by
Science China Press

Citation:
Researchers develop large-scale neuromorphic chip with novel instruction set architecture and on-chip learning (2024, May 23)
retrieved 23 May 2024
from https://techxplore.com/news/2024-05-large-scale-neuromorphic-chip-architecture.html

This document is subject to copyright. Apart from any fair dealing for the purpose of private study or research, no
part may be reproduced without the written permission. The content is provided for information purposes only.

If you liked the article, do not forget to share it with your friends. Follow us on Google News too, click on the star and choose us from your favorites.

If you want to read more Like this articles, you can visit our Science category.

Source

Related Articles

Leave a Reply

Your email address will not be published. Required fields are marked *

Back to top button
Close

Please allow ads on our site

Please consider supporting us by disabling your ad blocker!